پاورپوینت زبان توصيف سخت افزارVHDL (pptx) 29 اسلاید
دسته بندی : پاورپوینت
نوع فایل : PowerPoint (.pptx) ( قابل ویرایش و آماده پرینت )
تعداد اسلاید: 29 اسلاید
قسمتی از متن PowerPoint (.pptx) :
زبان توصيف سخت افزارVHDLتوصیف رفتاری دستورات ترتيبی (2)
چند مثال دیگر از process
امکان استفاده از چند process بصورت همروند وجود دارد.
در مثال زیر مدار به دو بخش P1 و P2 تقسیم شده است.
هر کدام را میتوان با یک process توصیف کرد.
مثال: تمام جمع کننده با استفاده از دو عدد نیم جمع کننده
-----------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-----------------------------------------
entity FULL_ADDER is
port (A, B, Cin : in std_logic;
Sum, Cout : out std_logic);
end FULL_ADDER;
-----------------------------------------
---------------------------------------------------
architecture BEHAV_FA of FULL_ADDER is
signal int1, int2, int3: std_logic;
begin
-- Process P1 (defines the first half adder)
P1: process (A, B)
begin
int1<= A xor B;
int2<= A and B;
end process;
-- Process P2 (the second half adder & the OR gate)
P2: process (int1, int2, Cin)
begin
Sum <= int1 xor Cin;
int3 <= int1 and Cin;
Cout <= int2 or int3;
end process;
end BEHAV_FA;
---------------------------------------------------
مثال 5-19) يك JK فلیپ فلاپ
----------------------------------------------
entity JK_FF is
port (clock, J, K, reset: in std_logic;
Q, Qbar: out std_logic);
end JK_FF;
-----------------------------------------------
architecture behv of JK_FF is
-- define the useful signals here
signal state: std_logic;
signal input: std_logic_vector(1 downto 0);
begin
-- combine inputs into vector
input <= J & K;
p: process(clock, reset) is
begin
if (reset='1') then
state <= '0';
مثال 5-19) يك JK فلیپ فلاپ
elsif (clock='1' and clock'event) then
-- compare to the truth table
case (input) is
when "11" =>
state <= not state;
when "10" =>
state <= '1';
when "01" =>
state <= '0';
when others =>
null;
end case;
end if;
end process;
-- concurrent statements
Q <= state;
Qbar <= not state;
end behv;
-------------------------------------------------
انواع عملگرها
اولویت از پایین به بالا و از راست به چپ
مگر اینکه از پرانتز استفاده شود
مثال 5-14) مقايسه کننده 8 بیتی
---------------------------------------------------
-- Example: 8-bit Comparator
-- two 8-bit inputs & three 1-bit outputs
---------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
---------------------------------------------------
entity Comparator is
port( A:in std_logic_vector(7 downto 0);
B:in std_logic_vector(7 downto 0);
less:out std_logic;
equal:out std_logic;
greater:out std_logic);
end Comparator;
مثال 5-14) مقايسه کننده 8 بیتی
---------------------------------------------------
architecture behv of Comparator is
begin
process(A,B)
begin
if (A